Back to Results
First PageMeta Content
Timing closure / Physical design / Design closure / Integrated circuit design / Static timing analysis / ECO / Synopsys / Signal integrity / Design rule checking / Electronic engineering / Electronic design automation / Signoff


White Paper Signoff-Driven Timing Closure ECO in the Synopsys Galaxy Platform February 2014
Add to Reading List

Document Date: 2014-11-07 14:32:38


Open Document

File Size: 1,65 MB

Share Result on Facebook

City

Mountain View / /

Company

Synopsys Inc. / /

Country

United States / /

IndustryTerm

process technologies / pessimism reduction technologies / parametric on-chip variation technologies / technology nodes / signoff tools / closure tools / design using physical implementation tools / area recovery technologies / on-route search space max transition violation / leakage recovery technologies / Static timing analysis tools / place-and-route tools / extraction tools / search space / guidance solutions / energy-efficient designs / /

OperatingSystem

ECOs / /

Position

driver / designer / Initial route Non-MPI route MPI route Driver / Author Abstract James Chuang Technical Marketing Manager / /

ProgrammingLanguage

Tcl / /

ProvinceOrState

California / /

Technology

area recovery technologies / pessimism reduction technologies / ASCII / MPI technology / ECO algorithms / leakage recovery technologies / parametric on-chip variation technologies / process technologies / PrimeTime ECO technology / timing-driven algorithms / PrimeTime ECO Guidance algorithms / /

URL

www.synopsys.com / http /

SocialTag