Wormhole switching

Results: 25



#Item
1PS-1 Ultra Low-Power High-Speed Flexible Probabilistic Adder for Error-Tolerant Applications

PS-1 Ultra Low-Power High-Speed Flexible Probabilistic Adder for Error-Tolerant Applications

Add to Reading List

Source URL: www.bpti.lt

Language: English - Date: 2013-12-16 08:28:50
2194  IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, VOL. 3, NO. 2, MARCH 1992 Virtual-Channel Flow Control William J. Dally, Member, IEEE

194 IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, VOL. 3, NO. 2, MARCH 1992 Virtual-Channel Flow Control William J. Dally, Member, IEEE

Add to Reading List

Source URL: cva.stanford.edu

Language: English - Date: 2008-04-03 14:16:32
3/afs/ir.stanford.edu/users/a/r/arjuns/work/research/TOR_NN/RESULTS/HILAT/VAL_13.eps

/afs/ir.stanford.edu/users/a/r/arjuns/work/research/TOR_NN/RESULTS/HILAT/VAL_13.eps

Add to Reading List

Source URL: cva.stanford.edu

Language: English - Date: 2005-12-01 13:38:04
4IEEE TRANSACTIONS ON COMPUTERS, VOL. 40, NO. 9, SEPTEMBERExpress Cubes: Improving the Performance of k-ary n-cube Interconnection Networks

IEEE TRANSACTIONS ON COMPUTERS, VOL. 40, NO. 9, SEPTEMBERExpress Cubes: Improving the Performance of k-ary n-cube Interconnection Networks

Add to Reading List

Source URL: cva.stanford.edu

Language: English - Date: 2008-04-03 14:17:54
5A DELAY MODEL FOR ROUTER MICROARCHITECTURES GIVEN ROUTER PARAMETERS, THIS DELAY MODEL PRESCRIBES REALISTIC PIPELINES, ENABLING ROUTER ARCHITECTS TO OPTIMIZE NETWORK PERFORMANCE BEFORE BEGINNING ACTUAL DETAILED DESIGN.

A DELAY MODEL FOR ROUTER MICROARCHITECTURES GIVEN ROUTER PARAMETERS, THIS DELAY MODEL PRESCRIBES REALISTIC PIPELINES, ENABLING ROUTER ARCHITECTS TO OPTIMIZE NETWORK PERFORMANCE BEFORE BEGINNING ACTUAL DETAILED DESIGN.

Add to Reading List

Source URL: cva.stanford.edu

Language: English - Date: 2005-12-01 13:38:04
6Virtual- Channel Flow Controll William J. Dally Artificial Intelligence Laboratory and Laboratory for Computer Science Massachusetts Institute of Technology Cambridge, Massachusetts 02139

Virtual- Channel Flow Controll William J. Dally Artificial Intelligence Laboratory and Laboratory for Computer Science Massachusetts Institute of Technology Cambridge, Massachusetts 02139

Add to Reading List

Source URL: cva.stanford.edu

Language: English - Date: 2008-04-03 14:20:06
7Route Packets, Not Wires: On-Chip Interconnection Networks William J. Dally and Brian Towles Computer Systems Laboratory Stanford University Stanford, CA 94305 {billd,btowles}@cva.stanford.edu

Route Packets, Not Wires: On-Chip Interconnection Networks William J. Dally and Brian Towles Computer Systems Laboratory Stanford University Stanford, CA 94305 {billd,btowles}@cva.stanford.edu

Add to Reading List

Source URL: cva.stanford.edu

Language: English - Date: 2005-12-01 13:38:04
8775  IEEE TRANSACTIONS ON COMPUTERS, VOL. 39, NO. 6, JUNE 1990 Performance Analysis of k-ary n-cube Interconnection Networks

775 IEEE TRANSACTIONS ON COMPUTERS, VOL. 39, NO. 6, JUNE 1990 Performance Analysis of k-ary n-cube Interconnection Networks

Add to Reading List

Source URL: cva.stanford.edu

Language: English - Date: 2008-04-03 14:18:56
9A Delay Model for Router Micro-architectures Li-Shiuan Peh William J. Dally

A Delay Model for Router Micro-architectures Li-Shiuan Peh William J. Dally

Add to Reading List

Source URL: cva.stanford.edu

Language: English - Date: 2005-12-01 13:38:05
10In Proceedings of the 6th International Symposium on High-Performance Computer Architecture, Toulouse, France, January 10-12, 2000. ppFlit-Reservation Flow Control Li-Shiuan Peh  William J. Dally

In Proceedings of the 6th International Symposium on High-Performance Computer Architecture, Toulouse, France, January 10-12, 2000. ppFlit-Reservation Flow Control Li-Shiuan Peh William J. Dally

Add to Reading List

Source URL: cva.stanford.edu

Language: English - Date: 2005-12-01 13:38:05