Back to Results
First PageMeta Content
Hardware verification languages / Aldec / Electronic design / SystemVerilog / E / Clock domain crossing / Verilog / VHDL / Functional verification / Electronic engineering / Electronic design automation / Hardware description languages


ALINT-PRO-CDC™ CDC Verification Static Structural Verification Clock Domain Crossing Verification ALINT-PRO-CDC™ is a design verification solution from Aldec which enables verification of clock domain crossings and
Add to Reading List

Document Date: 2015-05-05 17:04:02


Open Document

File Size: 377,75 KB

Share Result on Facebook

Company

RTL / ALINT-PRO-CDC / Aldec Inc. / /

/

IndustryTerm

design verification solution / /

OperatingSystem

Microsoft Windows / XP / Linux / Microsoft Vista / /

Person

Code Templates / File Browser / /

/

Position

Design Management Project Manager / designer / Panel Library Manager Policy Editor Waiver Editor / /

ProgrammingLanguage

Verilog / /

Technology

design verification / Verilog / simulation / VHDL / Linux / GUI / /

URL

www.aldec.com / /

SocialTag