Back to Results
First PageMeta Content
Electronic design / Logic families / Signoff / Power gating / Power network design / Synopsys / Design closure / CMOS / Electronic circuit simulation / Electronic engineering / Electronic design automation / Digital electronics


Datasheet PrimeRail In-Design Rail Analysis for Place-and-Route Engineers Overview
Add to Reading List

Document Date: 2014-11-07 14:32:38


Open Document

File Size: 315,97 KB

Share Result on Facebook

Company

Synopsys Inc. / /

Country

United States / /

IndustryTerm

signoff technologies / guidance technology / power networks / hierarchical power network / wireless applications / verification solution / power network / rail analysis technology foundation / /

Organization

Datasheet PrimeRail In-Design Rail Analysis for Place-and-Route Engineers Overview PrimeRail / /

/

Position

local sales representative / /

Technology

Dynamic Rail Analysis Solution PrimeRail technology / fixing guidance technology / StarRCâ„¢ signoff technologies / simulation / /

URL

www.synopsys.com / http /

SocialTag