Back to Results
First PageMeta Content
Electronics / Parasitic extraction / NX / Synopsys / Multigate device / Parasitic capacitance / Netlist / Capacitance / Simucad / Electronic engineering / Electronic design automation / Electromagnetism


Datasheet QuickCap NX 3D Field Solver Overview
Add to Reading List

Document Date: 2014-11-07 14:32:38


Open Document

File Size: 391,79 KB

Share Result on Facebook

Company

Synopsys Inc. / /

Country

United States / /

IndustryTerm

process technologies / metal / pre-processing engine / technology nodes / technology file encryption feature / technology files / hierarchical processing / polygon-processing blocks / random-walk algorithm / technology development / foundry process technology development / multicore processing / technology profiles / file encryption multicore processing / technology adopters / 3D field solver solution / early process technology node exploration / metal fill / solver solution / /

OperatingSystem

Solaris / Linux / /

/

Position

designer / local sales representative / /

Product

NX / /

Technology

encryption / 14-nm process technologies / 3-D / Linux / random-walk algorithm / process technologies / 3D graphics / CMP / /

URL

www.synopsys.com / http /

SocialTag